From 300bce3a09da12396a1a686f20ac16acefe80cbc Mon Sep 17 00:00:00 2001 From: Dmitry Gutov Date: Wed, 30 Sep 2015 05:17:30 +0300 Subject: [PATCH] ; * admin/MAINTAINERS: Add entries for Dmitry Gutov Author: --- admin/MAINTAINERS | 17 ++++++++++++----- 1 file changed, 12 insertions(+), 5 deletions(-) diff --git a/admin/MAINTAINERS b/admin/MAINTAINERS index c6a7be1dd37..ba8edbccd2d 100644 --- a/admin/MAINTAINERS +++ b/admin/MAINTAINERS @@ -122,6 +122,13 @@ The GNU AUCTeX maintainers (auctex-devel@gnu.org) lisp/textmodes/reftex-vars.el lisp/textmodes/reftex.el +Dmitry Gutov + lisp/progmodes/ruby-mode.el + test/automated/ruby-mode-tests.el + test/indent/ruby.rb + lisp/progmodes/xref.el + lisp/progmodes/project.el + ============================================================================== 2. ============================================================================== @@ -226,6 +233,10 @@ Xue Fuqiao Tassilo Horn lisp/doc-view.el +Dmitry Gutov + lisp/whitespace.el + lisp/vc/* + ============================================================================== 3. ============================================================================== @@ -590,11 +601,9 @@ lisp/progmodes/octave.el lisp/progmodes/opascal.el lisp/progmodes/pascal.el lisp/progmodes/prog-mode.el -lisp/progmodes/project.el lisp/progmodes/prolog.el lisp/progmodes/ps-mode.el lisp/progmodes/python.el -lisp/progmodes/ruby-mode.el lisp/progmodes/scheme.el lisp/progmodes/sh-script.el lisp/progmodes/simula.el @@ -604,7 +613,6 @@ lisp/progmodes/vera-mode.el lisp/progmodes/verilog-mode.el lisp/progmodes/vhdl-mode.el lisp/progmodes/which-func.el -lisp/progmodes/xref.el lisp/progmodes/xscheme.el lisp/ps-bdf.el lisp/ps-def.el @@ -703,14 +711,12 @@ lisp/type-break.el lisp/uniquify.el lisp/url/* lisp/userlock.el -lisp/vc/* lisp/vcursor.el lisp/version.el lisp/view.el lisp/vt-control.el lisp/vt100-led.el lisp/wdired.el -lisp/whitespace.el lisp/wid-browse.el lisp/wid-edit.el lisp/widget.el @@ -740,4 +746,5 @@ test/rmailmm.el ;;; Local Variables: ;;; coding: utf-8 +;;; indent-tabs-mode: t ;;; End: -- 2.39.2