]> git.eshelyaron.com Git - emacs.git/commitdiff
; Update lisp/ldefs-boot.el
authorEshel Yaron <me@eshelyaron.com>
Thu, 17 Oct 2024 18:49:00 +0000 (20:49 +0200)
committerEshel Yaron <me@eshelyaron.com>
Thu, 17 Oct 2024 18:49:00 +0000 (20:49 +0200)
lisp/ldefs-boot.el

index d4aee151ff55367a9b88088f706a548f89f39e9f..61d0bb0c451b378e759376c82a1419cbbff3f894 100644 (file)
@@ -5256,6 +5256,13 @@ the function in `compilation-buffer-name-function', so you can set that
 to a function that generates a unique name.
 
 (fn COMMAND &optional COMINT)" t)
+(autoload 'recompile "compile" "\
+Re-compile the program including the current buffer.
+If this is run in a Compilation mode buffer, reuse the arguments from the
+original use.  Otherwise, recompile using `compile-command'.
+If the optional argument `edit-command' is non-nil, the command can be edited.
+
+(fn &optional EDIT-COMMAND)" t)
 (autoload 'compilation--default-buffer-name "compile" "\
 
 
@@ -5349,7 +5356,7 @@ Advance to the next error message and visit the file where the error was.
 This is the value of `next-error-function' in Compilation buffers.
 
 (fn N &optional RESET)" t)
-(register-definition-prefixes "compile" '("compil" "define-compilation-mode" "kill-compilation" "recompile"))
+(register-definition-prefixes "compile" '("compil" "define-compilation-mode" "kill-compilation"))
 
 \f
 ;;; Generated autoloads from completion-preview.el
@@ -10869,11 +10876,12 @@ For non-interactive use, this is superseded by `fileloop-initialize-replace'.
 (set-advertised-calling-convention 'tags-query-replace '(from to &optional delimited) '"27.1")
 (autoload 'list-tags "etags" "\
 Display list of tags in file FILE.
-This searches only the first table in the list, and no included
-tables.  FILE should be as it appeared in the `etags' command,
-usually without a directory specification.  If called
-interactively, FILE defaults to the file name of the current
-buffer.
+Interactively, prompt for FILE, with completion, offering the current
+buffer's file name as the defaul.
+This command searches only the first table in the list of tags tables,
+and does not search included tables.
+FILE should be as it was submitted to the `etags' command, which usually
+means relative to the directory of the tags table file.
 
 (fn FILE &optional NEXT-MATCH)" t)
 (autoload 'tags-apropos "etags" "\
@@ -11455,45 +11463,6 @@ file modes.")
 
 (register-definition-prefixes "exif" '("exif-"))
 
-\f
-;;; Generated autoloads from expand.el
-
-(autoload 'expand-add-abbrevs "expand" "\
-Add a list of abbreviations to abbrev table TABLE.
-ABBREVS is a list of abbrev definitions; each abbrev description entry
-has the form (ABBREV EXPANSION ARG).
-
-ABBREV is the abbreviation to replace.
-
-EXPANSION is the replacement string or a function which will make the
-expansion.  For example, you could use the DMacros or skeleton packages
-to generate such functions.
-
-ARG is an optional argument which can be a number or a list of
-numbers.  If ARG is a number, point is placed ARG chars from the
-beginning of the expanded text.
-
-If ARG is a list of numbers, point is placed according to the first
-member of the list, but you can visit the other specified positions
-cyclically with the functions `expand-jump-to-previous-slot' and
-`expand-jump-to-next-slot'.
-
-If ARG is omitted, point is placed at the end of the expanded text.
-
-(fn TABLE ABBREVS)")
-(autoload 'expand-abbrev-hook "expand" "\
-Abbrev hook used to do the expansion job of expand abbrevs.
-See `expand-add-abbrevs'.  Value is non-nil if expansion was done.")
-(autoload 'expand-jump-to-previous-slot "expand" "\
-Move the cursor to the previous slot in the last abbrev expansion.
-This is used only in conjunction with `expand-add-abbrevs'." t)
-(autoload 'expand-jump-to-next-slot "expand" "\
-Move the cursor to the next slot in the last abbrev expansion.
-This is used only in conjunction with `expand-add-abbrevs'." t)
- (define-key abbrev-map "p" 'expand-jump-to-previous-slot)
- (define-key abbrev-map "n" 'expand-jump-to-next-slot)
-(register-definition-prefixes "expand" '("expand-"))
-
 \f
 ;;; Generated autoloads from external-completion.el
 
@@ -16630,172 +16599,6 @@ Pop to a buffer to describe ICON.
 (fn ICON)" t)
 (register-definition-prefixes "icons" '("button" "define-icon" "icon"))
 
-\f
-;;; Generated autoloads from progmodes/idlw-complete-structtag.el
-
-(register-definition-prefixes "idlw-complete-structtag" '("idlwave-"))
-
-\f
-;;; Generated autoloads from progmodes/idlw-help.el
-
-(register-definition-prefixes "idlw-help" '("idlwave-"))
-
-\f
-;;; Generated autoloads from progmodes/idlw-shell.el
-
-(autoload 'idlwave-shell "idlw-shell" "\
-Run an inferior IDL, with I/O through buffer `(idlwave-shell-buffer)'.
-If buffer exists but shell process is not running, start new IDL.
-If buffer exists and shell process is running, just switch to the buffer.
-
-When called with a prefix ARG, or when `idlwave-shell-use-dedicated-frame'
-is non-nil, the shell buffer and the source buffers will be in
-separate frames.
-
-The command to run comes from variable `idlwave-shell-explicit-file-name',
-with options taken from `idlwave-shell-command-line-options'.
-
-The buffer is put in `idlwave-shell-mode', providing commands for sending
-input and controlling the IDL job.  See help on `idlwave-shell-mode'.
-See also the variable `idlwave-shell-prompt-pattern'.
-
-(Type \\[describe-mode] in the shell buffer for a list of commands.)
-
-(fn &optional ARG)" t)
-(register-definition-prefixes "idlw-shell" '("idlwave-"))
-
-\f
-;;; Generated autoloads from progmodes/idlw-toolbar.el
-
-(register-definition-prefixes "idlw-toolbar" '("idlwave-toolbar"))
-
-\f
-;;; Generated autoloads from progmodes/idlwave.el
-
-(push (purecopy '(idlwave 6 1 22)) package--builtin-versions)
-(autoload 'idlwave-mode "idlwave" "\
-Major mode for editing IDL source files (version 6.1_em22).
-
-The main features of this mode are
-
-1. Indentation and Formatting
-   --------------------------
-   Like other Emacs programming modes, C-j inserts a newline and indents.
-   TAB is used for explicit indentation of the current line.
-
-   To start a continuation line, use \\[idlwave-split-line].  This
-   function can also be used in the middle of a line to split the line
-   at that point.  When used inside a long constant string, the string
-   is split at that point with the `+' concatenation operator.
-
-   Comments are indented as follows:
-
-   `;;;' Indentation remains unchanged.
-   `;;'  Indent like the surrounding code
-   `;'   Indent to a minimum column.
-
-   The indentation of comments starting in column 0 is never changed.
-
-   Use \\[idlwave-fill-paragraph] to refill a paragraph inside a
-   comment.  The indentation of the second line of the paragraph
-   relative to the first will be retained.  Use
-   \\[auto-fill-mode] to toggle auto-fill mode for these
-   comments.  When the variable `idlwave-fill-comment-line-only' is
-   nil, code can also be auto-filled and auto-indented.
-
-   To convert pre-existing IDL code to your formatting style, mark the
-   entire buffer with \\[mark-whole-buffer] and execute
-   \\[idlwave-expand-region-abbrevs].  Then mark the entire buffer
-   again followed by \\[indent-region] (`indent-region').
-
-2. Routine Info
-   ------------
-   IDLWAVE displays information about the calling sequence and the
-   accepted keyword parameters of a procedure or function with
-   \\[idlwave-routine-info].  \\[idlwave-find-module] jumps to the
-   source file of a module.  These commands know about system
-   routines, all routines in idlwave-mode buffers and (when the
-   idlwave-shell is active) about all modules currently compiled under
-   this shell.  It also makes use of pre-compiled or custom-scanned
-   user and library catalogs many popular libraries ship with by
-   default.  Use \\[idlwave-update-routine-info] to update this
-   information, which is also used for completion (see item 4).
-
-3. Online IDL Help
-   ---------------
-
-   \\[idlwave-context-help] displays the IDL documentation relevant
-   for the system variable, keyword, or routines at point.  A single
-   key stroke gets you directly to the right place in the docs.  See
-   the manual to configure where and how the HTML help is displayed.
-
-4. Completion
-   ----------
-   \\[idlwave-complete] completes the names of procedures, functions
-   class names, keyword parameters, system variables and tags, class
-   tags, structure tags, filenames and much more.  It is context
-   sensitive and figures out what is expected at point.  Lower case
-   strings are completed in lower case, other strings in mixed or
-   upper case.
-
-5. Code Templates and Abbreviations
-   --------------------------------
-   Many Abbreviations are predefined to expand to code fragments and templates.
-   The abbreviations start generally with a `\\'.  Some examples:
-
-   \\pr        PROCEDURE template
-   \\fu        FUNCTION template
-   \\c         CASE statement template
-   \\sw        SWITCH statement template
-   \\f         FOR loop template
-   \\r         REPEAT Loop template
-   \\w         WHILE loop template
-   \\i         IF statement template
-   \\elif      IF-ELSE statement template
-   \\b         BEGIN
-
-   For a full list, use \\[idlwave-list-abbrevs].  Some templates also
-   have direct keybindings - see the list of keybindings below.
-
-   \\[idlwave-doc-header] inserts a documentation header at the
-   beginning of the current program unit (pro, function or main).
-   Change log entries can be added to the current program unit with
-   \\[idlwave-doc-modification].
-
-6. Automatic Case Conversion
-   -------------------------
-   The case of reserved words and some abbrevs is controlled by
-   `idlwave-reserved-word-upcase' and `idlwave-abbrev-change-case'.
-
-7. Automatic END completion
-   ------------------------
-   If the variable `idlwave-expand-generic-end' is non-nil, each END typed
-   will be converted to the specific version, like ENDIF, ENDFOR, etc.
-
-8. Hooks
-   -----
-   Turning on `idlwave-mode' runs `idlwave-mode-hook'.
-
-9. Documentation and Customization
-   -------------------------------
-   Info documentation for this package is available.  Use
-   \\[idlwave-info] to display (complain to your sysadmin if that does
-   not work).  For Postscript, PDF, and HTML versions of the
-   documentation, check IDLWAVE's website at URL
-   `https://github.com/jdtsmith/idlwave'.
-   IDLWAVE has customize support - see the group `idlwave'.
-
-10.Keybindings
-   -----------
-   Here is a list of all keybindings of this mode.
-   If some of the key bindings below show with ??, use \\[describe-key]
-   followed by the key sequence to see what the key sequence does.
-
-\\{idlwave-mode-map}
-
-(fn)" t)
-(register-definition-prefixes "idlwave" '("idlwave-"))
-
 \f
 ;;; Generated autoloads from ido.el
 
@@ -19071,22 +18874,23 @@ Special commands:
 Let-bind dotted symbols to their cdrs in ALIST and execute BODY.
 Dotted symbol is any symbol starting with a `.'.  Only those present
 in BODY are let-bound and this search is done at compile time.
+A number will result in a list index.
 
 For instance, the following code
 
   (let-alist alist
-    (if (and .title .body)
+    (if (and .title.0 .body)
         .body
       .site
       .site.contents))
 
 essentially expands to
 
-  (let ((.title (cdr (assq \\='title alist)))
+  (let ((.title (nth 0 (cdr (assq \\='title alist))))
         (.body  (cdr (assq \\='body alist)))
         (.site  (cdr (assq \\='site alist)))
         (.site.contents (cdr (assq \\='contents (cdr (assq \\='site alist))))))
-    (if (and .title .body)
+    (if (and .title.0 .body)
         .body
       .site
       .site.contents))
@@ -24993,7 +24797,8 @@ else prompt the user for the project to use.  To prompt for a
 project, call the function specified by `project-prompter', which
 returns the directory in which to look for the project.  If no
 project is found in that directory, return a \"transient\"
-project instance.
+project instance.  When MAYBE-PROMPT is a string, it's passed to the
+prompter function as an argument.
 
 The \"transient\" project instance is a special kind of value
 which denotes a project rooted in that directory and includes all
@@ -25049,14 +24854,14 @@ requires quoting, e.g. `\\[quoted-insert]<space>'.
 Find all matches for REGEXP in the project roots or external roots.
 
 (fn REGEXP)" t)
-(autoload 'project-find-file-in-root "project" "\
+(autoload 'project-root-find-file "project" "\
 Edit file FILENAME.
 
 Interactively, prompt for FILENAME, defaulting to the root directory of
 the current project.
 
 (fn FILENAME)" t)
-(function-put 'project-find-file-in-root 'interactive-only 'find-file)
+(function-put 'project-root-find-file 'interactive-only 'find-file)
 (autoload 'project-find-file "project" "\
 Visit a file (with completion) in the current project.
 
@@ -25535,7 +25340,8 @@ Optional argument FACE specifies the face to do the highlighting.
 ;;; Generated autoloads from progmodes/python.el
 
 (push (purecopy '(python 0 28)) package--builtin-versions)
-(add-to-list 'auto-mode-alist (cons (purecopy "\\.py[iw]?\\'") 'python-mode))
+(defconst python--auto-mode-alist-regexp (rx (or (seq "." (or "py" "pth" "pyi" "pyw")) (seq "/" (or "SConstruct" "SConscript"))) eos))
+(add-to-list 'auto-mode-alist (cons python--auto-mode-alist-regexp 'python-mode))
 (add-to-list 'interpreter-mode-alist (cons (purecopy "python[0-9.]*") 'python-mode))
 (autoload 'run-python "python" "\
 Run an inferior Python process.
@@ -32693,7 +32499,7 @@ the output buffer or changing the window configuration.
 ;;; Generated autoloads from emacs-lisp/track-changes.el
 
 (push (purecopy '(track-changes 1 2)) package--builtin-versions)
-(register-definition-prefixes "track-changes" '("track-changes-" "with--track-changes"))
+(register-definition-prefixes "track-changes" '("track-change" "with--track-changes"))
 
 \f
 ;;; Generated autoloads from net/tramp.el
@@ -34289,8 +34095,8 @@ remove from the list of ignored files.
 (autoload 'vc-version-diff "vc" "\
 Report diffs between revisions REV1 and REV2 in the repository history.
 This compares two revisions of the current fileset.
-If REV1 is nil, it defaults to the current revision, i.e. revision
-of the last commit.
+If REV1 is nil, it defaults to the previous revision, i.e. revision
+before the last commit.
 If REV2 is nil, it defaults to the work tree, i.e. the current
 state of each file in the fileset.
 
@@ -34905,173 +34711,6 @@ Key bindings:
 (fn)" t)
 (register-definition-prefixes "vera-mode" '("vera-"))
 
-\f
-;;; Generated autoloads from progmodes/verilog-mode.el
-
-(push (purecopy '(verilog-mode 2024 3 1 121933719)) package--builtin-versions)
-(autoload 'verilog-mode "verilog-mode" "\
-Major mode for editing Verilog code.
-\\<verilog-mode-map>
-See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how
-AUTOs can improve coding efficiency.
-
-Use \\[verilog-faq] for a pointer to frequently asked questions.
-
-NEWLINE, TAB indents for Verilog code.
-Delete converts tabs to spaces as it moves back.
-
-Supports highlighting.
-
-Turning on Verilog mode calls the value of the variable `verilog-mode-hook'
-with no args, if that value is non-nil.
-
-Variables controlling indentation/edit style:
-
- variable `verilog-indent-level'      (default 3)
-   Indentation of Verilog statements with respect to containing block.
- `verilog-indent-level-module'        (default 3)
-   Absolute indentation of Module level Verilog statements.
-   Set to 0 to get initial and always statements lined up
-   on the left side of your screen.
- `verilog-indent-level-declaration'   (default 3)
-   Indentation of declarations with respect to containing block.
-   Set to 0 to get them list right under containing block.
- `verilog-indent-level-behavioral'    (default 3)
-   Indentation of first begin in a task or function block
-   Set to 0 to get such code to lined up underneath the task or
-   function keyword.
- `verilog-indent-level-directive'     (default 1)
-   Indentation of \\=`ifdef/\\=`endif blocks.
- `verilog-indent-ignore-multiline-defines' (default t)
-   Non-nil means ignore indentation on lines that are part of a multiline
-   define.
- `verilog-indent-ignore-regexp'     (default nil
-   Regexp that matches lines that should be ignored for indentation.
- `verilog-cexp-indent'              (default 1)
-   Indentation of Verilog statements broken across lines i.e.:
-      if (a)
-        begin
- `verilog-case-indent'              (default 2)
-   Indentation for case statements.
- `verilog-auto-newline'             (default nil)
-   Non-nil means automatically newline after semicolons and the punctuation
-   mark after an end.
- `verilog-auto-indent-on-newline'   (default t)
-   Non-nil means automatically indent line after newline.
- `verilog-tab-always-indent'        (default t)
-   Non-nil means TAB in Verilog mode should always reindent the current line,
-   regardless of where in the line point is when the TAB command is used.
- `verilog-indent-begin-after-if'    (default t)
-   Non-nil means to indent begin statements following a preceding
-   if, else, while, for and repeat statements, if any.  Otherwise,
-   the begin is lined up with the preceding token.  If t, you get:
-      if (a)
-         begin // amount of indent based on `verilog-cexp-indent'
-   otherwise you get:
-      if (a)
-      begin
- `verilog-indent-class-inside-pkg'  (default t)
-   Non-nil means indent classes inside packages.
-   Otherwise, classes have zero indentation.
- `verilog-auto-endcomments'         (default t)
-   Non-nil means a comment /* ... */ is set after the ends which ends
-   cases, tasks, functions and modules.
-   The type and name of the object will be set between the braces.
- `verilog-minimum-comment-distance' (default 10)
-   Minimum distance (in lines) between begin and end required before a comment
-   will be inserted.  Setting this variable to zero results in every
-   end acquiring a comment; the default avoids too many redundant
-   comments in tight quarters.
- `verilog-align-decl-expr-comments' (default t)
-   Non-nil means align declaration and expressions comments.
- `verilog-align-comment-distance'   (default 1)
-   Distance (in spaces) between longest declaration and comments.
-   Only works if `verilog-align-decl-expr-comments' is non-nil.
- `verilog-align-assign-expr'        (default nil)
-   Non-nil means align expressions of continuous assignments.
- `verilog-align-typedef-regexp'     (default nil)
-   Regexp that matches user typedefs for declaration alignment.
- `verilog-align-typedef-words'      (default nil)
-   List of words that match user typedefs for declaration alignment.
- `verilog-auto-lineup'              (default `declarations')
-   List of contexts where auto lineup of code should be done.
-
-Variables controlling other actions:
-
- `verilog-linter'                   (default `none')
-   Unix program to call to run the lint checker.  This is the default
-   command for \\[compile-command] and \\[verilog-auto-save-compile].
-
-See \\[customize] for the complete list of variables.
-
-AUTO expansion functions are, in part:
-
-    \\[verilog-auto]  Expand AUTO statements.
-    \\[verilog-delete-auto]  Remove the AUTOs.
-    \\[verilog-inject-auto]  Insert AUTOs for the first time.
-
-Some other functions are:
-
-    \\[completion-at-point]    Complete word with appropriate possibilities.
-    \\[verilog-mark-defun]  Mark function.
-    \\[verilog-beg-of-defun]  Move to beginning of current function.
-    \\[verilog-end-of-defun]  Move to end of current function.
-    \\[verilog-label-be]  Label matching begin ... end, fork ... join, etc
-                          statements.
-
-    \\[verilog-comment-region]  Put marked area in a comment.
-    \\[verilog-uncomment-region]  Uncomment an area commented with
-                                  \\[verilog-comment-region].
-    \\[verilog-insert-block]  Insert begin ... end.
-    \\[verilog-star-comment]    Insert /* ... */.
-
-    \\[verilog-sk-always]  Insert an always @(AS) begin .. end block.
-    \\[verilog-sk-begin]  Insert a begin .. end block.
-    \\[verilog-sk-case]  Insert a case block, prompting for details.
-    \\[verilog-sk-for]  Insert a for (...) begin .. end block, prompting for
-                        details.
-    \\[verilog-sk-generate]  Insert a generate .. endgenerate block.
-    \\[verilog-sk-header]  Insert a header block at the top of file.
-    \\[verilog-sk-initial]  Insert an initial begin .. end block.
-    \\[verilog-sk-fork]  Insert a fork begin .. end .. join block.
-    \\[verilog-sk-module]  Insert a module .. (/*AUTOARG*/);.. endmodule block.
-    \\[verilog-sk-ovm-class]  Insert an OVM Class block.
-    \\[verilog-sk-uvm-object]  Insert an UVM Object block.
-    \\[verilog-sk-uvm-component]  Insert an UVM Component block.
-    \\[verilog-sk-primitive]  Insert a primitive .. (.. );.. endprimitive block.
-    \\[verilog-sk-repeat]  Insert a repeat (..) begin .. end block.
-    \\[verilog-sk-specify]  Insert a specify .. endspecify block.
-    \\[verilog-sk-task]  Insert a task .. begin .. end endtask block.
-    \\[verilog-sk-while]  Insert a while (...) begin .. end block,
-                       prompting for details.
-    \\[verilog-sk-casex]  Insert a casex (...) item: begin.. end endcase block,
-                       prompting for details.
-    \\[verilog-sk-casez]  Insert a casez (...) item: begin.. end endcase block,
-                       prompting for details.
-    \\[verilog-sk-if]  Insert an if (..) begin .. end block.
-    \\[verilog-sk-else-if]  Insert an else if (..) begin .. end block.
-    \\[verilog-sk-comment]  Insert a comment block.
-    \\[verilog-sk-assign]  Insert an assign .. = ..; statement.
-    \\[verilog-sk-function]  Insert a function .. begin .. end endfunction
-                             block.
-    \\[verilog-sk-input]  Insert an input declaration, prompting for details.
-    \\[verilog-sk-output]  Insert an output declaration, prompting for details.
-    \\[verilog-sk-state-machine]  Insert a state machine definition, prompting
-                                  for details.
-    \\[verilog-sk-inout]  Insert an inout declaration, prompting for details.
-    \\[verilog-sk-wire]  Insert a wire declaration, prompting for details.
-    \\[verilog-sk-reg]  Insert a register declaration, prompting for details.
-    \\[verilog-sk-define-signal]  Define signal under point as a register at
-                                  the top of the module.
-
-All key bindings can be seen in a Verilog-buffer with \\[describe-bindings].
-Key bindings specific to `verilog-mode-map' are:
-
-\\{verilog-mode-map}
-
-(fn)" t)
-(register-definition-prefixes "verilog-mode" '("electric-verilog-" "verilog-" "vl-"))
-
 \f
 ;;; Generated autoloads from progmodes/vhdl-mode.el
 
@@ -37431,7 +37070,7 @@ TYPES should be a MIME media type symbol, a regexp, or a list
 that can contain both symbols and regexps.
 
 HANDLER is a function that will be called with two arguments: The
-MIME type (a symbol on the form `image/png') and the selection
+MIME type (a symbol of the form `image/png') and the selection
 data (a string).
 
 (fn TYPES HANDLER)")