]> git.eshelyaron.com Git - emacs.git/commit
Update verilog-mode with upstream patches.
authorWilson Snyder <wsnyder@wsnyder.org>
Mon, 26 Nov 2018 12:11:55 +0000 (07:11 -0500)
committerWilson Snyder <wsnyder@wsnyder.org>
Mon, 26 Nov 2018 12:11:55 +0000 (07:11 -0500)
commit82941e44f3ae58349143db5c57af91dad43c1e5e
treee09d0ee6cec69a7b36cb0981b1c5ead00bb0c958
parentdf108bf927494909ad3df206814fe688cd332db5
Update verilog-mode with upstream patches.

* lisp/progmodes/verilog-mode.el (verilog-auto-templated-rel)
(verilog-load-file-at-point, verilog-read-arg-pins)
(verilog-read-auto-constants, verilog-read-auto-params)
(verilog-read-auto-template-middle, verilog-read-decls)
(verilog-read-includes, verilog-read-inst-pins)
(verilog-read-instants, verilog-read-sub-decls-gate): Don't copy
properties when parsing AUTOs.
(verilog-font-lock-keywords): Fix SystemVerilog font lock keywords to be
more consistent with IEEE 1364 keywords. Reported by Jeff Riley.
(verilog-highlight-p1800-keywords): Now ignored.
(verilog-simplify-range-expression): Simplify shifts in auto wire
declarations, bug1346.  Reported by Maghawan Punde.
(verilog-read-always-signals-recurse): Fix AUTORESET with pattern
assignments. Reported by Bhargava Narumanchi.
(verilog-at-constraint-p): Fix indentation of replicate with parameter.
Reported by Yun He.
(verilog-read-defines, verilog-read-includes)
(verilog-substitute-include-name): Fix handling define names in includes,
bug1324. Reported by John DeRoo.
(verilog-imenu-generic-expression): Fix speedbar for signed functions,
bug1312. Reported by Ian Perryman.
(verilog-indent-buffer): Fix verilog-batch-indent not honoring top mode
line. Reported by James Claffey.
(verilog-set-auto-endcomments): Fix end comments when have variables
starting with class_, bug1259. Reported by Andrea Fedeli.
(verilog-read-decls): Fix AUTOINST for parameterized interfaces,
bug1253. Reported by David Rogoff.
(verilog-read-sub-decls-line, verilog-signals-combine-bus): Fix AUTOOUTPUT
not including nested array references, msg2417.
(verilog-at-constraint-p): begin/end are illegal inside constraint blocks,
so use that knowledge to make smarter indentation decisions.
(verilog-auto-simplify-expressions, verilog-simplify-range-expression):
Add `verilog-auto-simplify-expressions' to disable range simplifications.
(verilog-auto-save-check, verilog-batch-execute-func): Fix .* causing
Emacs batch to always re-save files with no changes, bug1239.  Reported by
Brian Etscheid.
lisp/progmodes/verilog-mode.el