]> git.eshelyaron.com Git - emacs.git/commit
(top-level): Fix spacing.
authorDan Nicolaescu <dann@ics.uci.edu>
Wed, 9 Jan 2008 06:30:58 +0000 (06:30 +0000)
committerDan Nicolaescu <dann@ics.uci.edu>
Wed, 9 Jan 2008 06:30:58 +0000 (06:30 +0000)
commit606180397c8553af5dcc8efead1c4d1073ebcd57
tree79ab30c762f163f32feb3638c025d7670e3f76fa
parent8cd8671965f54b3a1b536ca3998a16a485cb4c56
(top-level): Fix spacing.
(verilog-mode-version, verilog-mode-release-date): Update version
number.
(verilog-mode-release-emacs): New variable.
(compile-command, reporter-prompt-for-summary-p): Define for byte
compiler.
(verilog-startup-message-lines)
(verilog-startup-message-displayed)
(verilog-display-startup-message): Remove.
(verilog-highlight-p1800-keywords): Improve docstring.
(sigs-in, sigs-out, got-sig, got-rvalue, uses-delayed)
(vector-skip-list): Only defvar at compile time.
(verilog-highlight-translate-off, verilog-indent-level)
(verilog-indent-level-module, verilog-indent-level-declaration)
(verilog-indent-declaration-macros, verilog-indent-lists)
(verilog-indent-level-behavioral)
(verilog-indent-level-directive, verilog-cexp-indent)
(verilog-case-indent, verilog-auto-newline)
(verilog-auto-indent-on-newline, verilog-tab-always-indent)
(verilog-tab-to-comment, verilog-indent-begin-after-if)
(verilog-align-ifelse, verilog-minimum-comment-distance)
(verilog-auto-lineup, verilog-highlight-p1800-keywords)
(verilog-auto-endcomments, verilog-auto-read-includes)
(verilog-auto-star-expand, verilog-auto-star-save)
(verilog-library-flags, verilog-library-directories)
(verilog-library-files, verilog-library-extensions)
(verilog-active-low-regexp, verilog-auto-sense-include-inputs)
(verilog-auto-sense-defines-constant, verilog-auto-reset-widths)
(verilog-assignment-delay, verilog-auto-inst-vector)
(verilog-auto-inst-template-numbers)
(verilog-auto-input-ignore-regexp)
(verilog-auto-inout-ignore-regexp)
(verilog-auto-output-ignore-regexp)
(verilog-auto-unused-ignore-regexp, verilog-typedef-regexp): Add
safe-local-variable properties.
(verilog-statement-menu, verilog-company)
(verilog-re-search-forward, verilog-re-search-backward)
(verilog-error-regexp-add, verilog-end-block-re)
(verilog-emacs-features, verilog-populate-syntax-table)
(verilog-setup-dual-comments, verilog-type-font-keywords)
(verilog-inside-comment-p, electric-verilog-backward-sexp)
(verilog-backward-sexp, verilog-forward-sexp)
(verilog-font-lock-init, verilog-mode)
(electric-verilog-terminate-line, electric-verilog-semi)
(electric-verilog-tab, verilog-insert-1, )
(verilog-insert-indices, verilog-generate-numbers)
(verilog-comment-region, verilog-label-be)
(verilog-beg-of-statement, verilog-in-case-region-p)
(verilog-in-struct-region-p, verilog-in-generate-region-p)
(verilog-in-fork-region-p, verilog-backward-case-item)
(verilog-set-auto-endcomments, verilog-get-expr)
(verilog-expand-vector-internal, verilog-surelint-off)
(verilog-batch-execute-func, verilog-calculate-indent)
(verilog-calc-1, verilog-calculate-indent-directive)
(verilog-leap-to-head, verilog-continued-line)
(verilog-backward-token, verilog-backward-syntactic-ws)
(verilog-forward-syntactic-ws, verilog-backward-ws&directives)
(verilog-forward-ws&directives, verilog-at-constraint-p)
(verilog-skip-backward-comments, verilog-indent-line-relative)
(verilog-do-indent, verilog-indent-comment)
(verilog-more-comment, verilog-pretty-declarations)
(verilog-pretty-expr, verilog-just-one-space)
(verilog-indent-declaration, verilog-get-completion-decl)
(verilog-goto-defun, verilog-showscopes, verilog-header)
(verilog-signals-combine-bus, verilog-read-decls)
(verilog-read-always-signals-recurse, verilog-read-instants)
(verilog-read-auto-template, verilog-set-define)
(verilog-read-defines, verilog-read-signals, verilog-getopt)
(verilog-is-number, verilog-expand-dirnames)
(verilog-modi-lookup, verilog-modi-cache-results)
(verilog-insert-one-definition, verilog-make-width-expression)
(verilog-delete-autos-lined, verilog-auto-save-check)
(verilog-auto-arg, verilog-auto-inst-port, verilog-auto-inst)
(verilog-auto-inst-param, verilog-auto-reg)
(verilog-auto-reg-input, verilog-auto-wire, )
(verilog-auto-output, verilog-auto-output-every)
(verilog-auto-input, verilog-auto-inout)
(verilog-auto-inout-module, verilog-auto-sense)
(verilog-auto-reset, verilog-auto-tieoff, verilog-auto-unused)
(verilog-auto-ascii-enum, verilog-auto)
(verilog-sk-define-signal, verilog-mode-mouse-map)
(verilog-load-file-at-mouse, verilog-load-file-at-point)
(verilog-library-files): Cleanup spacing of )'s they should not be
on unique lines.  Fix checkdoc warnings.
lisp/ChangeLog
lisp/progmodes/verilog-mode.el